Electrical and Electronic Devices, Circuits, and Materials. Группа авторов

Чтение книги онлайн.

Читать онлайн книгу Electrical and Electronic Devices, Circuits, and Materials - Группа авторов страница 19

Electrical and Electronic Devices, Circuits, and Materials - Группа авторов

Скачать книгу

alt="Schematic illustration of the MOD-FinFET structure with dual source extensions."/> Schematic illustration of the comparisons of (a) Id-Vg (Transfer characteristics) and; (b) Id-Vd curves for various FinFET and proposed MOD-FinFET structures.

      Thus, several studies have been performed to quantify the impact of strain technology in modern nano-scale transistors (FETs) and the results clearly indicate that strain engineering will help to sustain the growth of technology in the coming years for both new materials and device structures.

      Now, the strain engineering in planner MOSFET structure started with substrate induced biaxial stress [4, 29]. Although several research works were done by various scientists using this biaxial stress, however, due to several challenges, discussed in the earlier section, Ito et al. have proposed a new idea of introducing uniaxial tensile stress in the channel by Nitride capping layer [33] to improve n- channel MOSFET performance. For p- channel devices, Gannavaram et al. demonstrated that SiGe stressor material embedded sourcedrain regions induce compressive stress in the channel [34], and improves hole mobility in the channel. The new technique of introducing compressive channel stress to improve p- channel device performance led the scientists to explore more in this field of work, and in 2003, Ghani et al. of Intel fabricated a 90nm technology node MOSFET device [12] and reported several advantages of this SiGe embedded source-drain technology. Since then, several research works have been published on this field [4, 13, 23, 29] and the studies show that the lattice mismatch at the interface of source/drain and channel induces uniaxial compressive stress in the channel region of transistors. In [13], the authors have compared the performance of both uniaxial and biaxial channel stress in p- channel device and reported that, unlike biaxial stress, uniaxial channel stress helps to improve the mobility of holes more at low strain - high vertical field condition.

      The impact of uniaxial channel stress on SiGe embedded source-drain MOSFET device performance have been studied thoroughly by Fossum and Zhang [35] and reported that threshold voltage shift is almost 5× smaller when compared to unstrained devices and device speed increases almost 16% due to the induced uniaxial stress in the CMOS ring oscillator. In [36], Thompson et al. studied the strain induced mobility enhancement in planner MOSFET for both holes and electron by theoretical modeling and experimentally measurement. It has been observed that due to uniaxial stress, maximum mobility enhancement is found to be greater than 4× and 1.7× for holes and electrons respectively.

      The impact of strain engineering in non-volatile memory devices has been studied by Arghavani et al. [37] and it has been observed that the retention time is improved by tensile stress in n- channel devices, and degrades by the compressive stress in p- channel devices. The tensile stress improves the retention time due to change in barrier height at Si-SiO2 and conductivity effective mass.

      On the other hand, few researchers are also exploring thin films to generate a large amount of stress by the crystalline mismatch at substrate-thin film interface. Gilardi et al. [43] have shown the real-time monitoring of stress generation during the growth of thin films and presented a new measurement technique to measure the substrate curvature in strain engineering. There are other scientists exploring the possibilities of strain engineering in nanowire FETs. Li Song et al. [44] have explored the impact of strain engineering in Si nanowire and found that when ultra-large (> 10%) strain is applied along <100> direction, the Si keeps an indirect band gap; however, when the strain is applied along <110> and <111> direction, it becomes direct band gap semiconductor. These results provide a significant insight of strain engineering in Si nanowire applications.

      In this chapter, the gradual development of strain technology has been discussed. Scientists have studied and found that by applying stress in a FET channel region, the transport properties of carriers can be improved. This improvement of carrier transport results in significant device performance improvement and these improvements are studied in detail by several researchers. Some of these are discussed in the present chapter.

      In section 1.2, various theoretical explorations by different scientists are included. Since the early 1950s, various researchers have studied the impact of strain on material and device properties and these observations are reported in various reputed journals. It has been found that by inducing strain, band structure of a material can be altered and as a result, the behavior of the materials changes too. Therefore, fundamental process of stress and strain calculations through theoretical analysis becomes very important. In this section, basic steps of strain matrix formation, two different types of strain, biaxial and uniaxial, formalism are discussed. These are the main stress components researchers have used for the last couple of decades in transistor to further explore their impact on device performance. In this regard, the impact of induced strain on device performance parameters is also explored by several scientists, and these calculations are also briefly included.

      In section 1.3, different studies are discussed where impact of induced strain on device performance is calculated by using different standard simulation software. In the last few decades various simulation software have been designed to reduce the fabrication cost and time while exploring

Скачать книгу